Logotipo de Zephyrnet

Grandes cambios por delante para la tecnología Photomask

Fecha:

El paso a formas curvilíneas en las fotomáscaras está ganando fuerza después de años de promesa como una forma de mejorar el rendimiento, reducir la defectividad y reducir el espacio desperdiciado en una matriz, todo lo cual es esencial tanto para el escalado continuo como para mejorar la confiabilidad en los semiconductores.

El interés en este enfoque aumentó en la Conferencia SPIE Photomask Technology + EUV Lithography de este año. En pocas palabras, las formas curvilíneas son una representación más precisa de las características que se imprimirán en una máscara y, en última instancia, se grabarán en una oblea, lo que permitirá un espacio más estrecho entre esas características. Si toda la industria respalda este enfoque, el impacto podría ser significativo. Pero existen desafíos asociados con cualquier movimiento de esta escala, particularmente en lo que se refiere a la fabricación de gran volumen, y la transición no es trivial. Además, incluso si existe un amplio apoyo, se necesitarán años para obtener plenamente los beneficios.

"Curvilinear ha estado presente durante bastante tiempo", dice Steffen Schulze, vicepresidente de soluciones de semiconductores Calibre en EDA de Siemens. “Ha habido demostraciones de la tecnología, como empresas de memoria que la utilizan en matrices de alta densidad con una alta tasa de repetición, pero siempre estuvo limitada por el marco de fabricación. Ahora es casi como si se hubiera roto el dique por adoptar lo curvilíneo”.

Ciertamente hay más optimismo sobre su potencial. "La gente lleva décadas hablando de máscaras curvilíneas", dice Chris Mack, director ejecutivo de Fractilia. “Pero siempre ha existido esta relación costo-beneficio, y el costo ha superado el beneficio. Ahora, un par de factores clave han cambiado esa relación costo-beneficio, y las máscaras curvilíneas podrían ser realmente prácticas”.

Uno de estos facilitadores es la adopción de multihaz escritores de máscaras. Históricamente, la escritura de máscaras se basaba en la litografía de haz electrónico de un solo haz, que requiere mucho tiempo y es menos eficiente para crear patrones complejos. Sin embargo, con la creciente demanda de diseños complejos y nodos más pequeños, la necesidad de una escritura de máscaras más rápida y precisa se está volviendo evidente. Introducidas a principios de la década de 2010, estas herramientas revolucionaron la producción de máscaras al permitir la escritura simultánea de múltiples patrones, reduciendo drásticamente los tiempos de escritura y permitiendo la creación de diseños más complejos.

"Los escritores de máscaras multihaz existen desde hace varios años", dice Aki Fujimura, presidente y director ejecutivo de D2S. “Ahora, especialmente para EUV, las máscaras casi siempre están escritas al 100% por creadores de máscaras multihaz. No hay penalización adicional por tener formas curvilíneas porque las máscaras con curvas no requieren tiempo adicional para producirse”.

Eso justifica con línea no recta la adopción es mucho más sencilla. "La industria ya se está volviendo curvilínea", dice Travis Brist, gerente senior de marketing de productos de Sinopsis. “El volumen de datos ha sido una barrera, algo que está en proceso, y el escritor de máscaras ha sido una barrera. Pero los creadores de máscaras multihaz están empezando a aparecer y se está empezando a ver un mayor uso de ellos”.

El rendimiento de este equipo ha mejorado dramáticamente. "En la escritura con máscaras de la vieja escuela, escribíamos un píxel a la vez", añade Mack. “Y con una máscara curvilínea, tendrías que tener un tamaño de píxel más pequeño y un tamaño de dirección más pequeño, lo que aumentaría dramáticamente los tiempos de escritura y, por lo tanto, el costo de la máscara. Pero en la última década, hemos visto que los escritores de máscaras multihaz están disponibles y se vuelven populares. Ahora pueden escribir una máscara curvilínea a la misma velocidad que escribirían una máscara de geometría de Manhattan, y también con gran precisión”.

Fig. 1: Frank Abboud de Intel analiza los desafíos de las máscaras curvilíneas en SPIE. Fuente: Ingeniería de semiconductores/Gregory Haley

Fig. 1: Frank Abboud de Intel analiza los desafíos de las máscaras curvilíneas en SPIE. Fuente: Ingeniería de semiconductores/Gregory Haley

Fig. 1: Frank Abboud de Intel analiza los desafíos de las máscaras curvilíneas en SPIE. Fuente: Ingeniería de semiconductores/Gregory Haley

Otro facilitador clave del enmascaramiento curvilíneo es el formato "multigonal" para representar características curvilíneas. Diseñado específicamente para representar características curvilíneas, multigon (una colección de polígonos en una sola geometría) garantiza que los volúmenes de datos sigan siendo manejables, a pesar de la naturaleza intrincada de estos diseños.

"La litografía inversa, o litografía curvilínea, se creó hace más de 10 años", dice Kurt Ronse, director del programa de modelado avanzado de imec. “El problema era que había patrones aleatorios en la máscara y, a veces, patrones muy pequeños y patrones más grandes y todo tipo de orientaciones, por lo que nadie pudo hacer esa máscara. Además, no existía ningún método para almacenar esta imagen que usted hubiera calculado en un formato de datos estándar. Los datos se volvieron demasiado grandes y una tienda de máscaras no pudo cargarlos en el escritor”.

Este es uno de los desafíos de las formas curvilíneas. Una línea recta se puede definir mediante dos puntos, pero una línea curva requiere muchos puntos a lo largo de la curva para obtener una representación precisa y, si tiene mucha ondulación, necesitará muchos puntos. El volumen de datos para tal diseño sería inmenso.

Ahí es donde entra en juego el formato multigonal. En lugar de depender únicamente de representaciones lineales por partes, el formato multigono introduce métodos para representar polígonos curvilíneos, como el ajuste de curvas cuadráticas de Bézier o el ajuste spline. Estos métodos pueden capturar la esencia de una forma curvilínea con menos puntos de datos, lo que potencialmente conduce a tamaños de archivo reducidos y un procesamiento de datos más eficiente.

"Los splines cúbicos son los multigonos más comunes que la gente observa porque son muy flexibles", dice Mack. “Junte unas cuantas splines cúbicas y podrá describir una forma bastante compleja con un conjunto de números mucho más pequeño. Pero ese es un esfuerzo de estandarización que requiere que toda la industria coopere para que eso suceda. Y ese trabajo está en curso. Será muy útil cuando esté terminado”.

Incluso la transición a este formato es complicada. "Además de estas características curvas, lo curvilíneo está generando mucho más volumen y complejidad de datos", dice Brist de Synopsys. "Por lo tanto, estamos considerando el formato multigonal como una forma diferente de representar los datos en el archivo GDS para reducir el volumen, y cosas como la IA y el aprendizaje automático para manejar la complejidad de los datos y acelerar la implementación".

Las herramientas y procesos existentes optimizados para las estructuras de Manhattan pueden producir resultados inexactos con formas curvilíneas. Esto requiere el desarrollo de nuevas herramientas, algoritmos y comprobaciones para manejar eficazmente las complejidades de los diseños curvilíneos.

"Puedes imaginar, ahora que tienes estas características curvas, que las cosas que están acostumbradas a observar las estructuras de Manhattan y tomar medidas entre las características de Manhattan ya no funcionan para las características curvas", agrega Brist. “Así que realmente hay que crear nuevos tipos de controles para identificar estas características sin identificar falsos positivos o faltar cosas. Eso se convierte en un nuevo desafío”.

Comprobando defectos
Los controles de reglas de máscara (MRC) han sido durante mucho tiempo la piedra angular del diseño y la fabricación de semiconductores. Estas reglas garantizan que los patrones de fotomáscara sean fabricables y que reproduzcan fielmente las características previstas en la oblea de silicio sin defectos. Históricamente, los MRC se adaptaron a estructuras (rectileñas) de Manhattan, caracterizadas por sus ángulos rectos y su diseño sencillo. Sin embargo, a medida que la industria avanza hacia características curvilíneas o no propias de Manhattan, las limitaciones de los MRC tradicionales se vuelven evidentes. Estas comprobaciones convencionales tienen dificultades para manejar eficazmente los matices de las características curvas, lo que genera posibles imprecisiones, falsos positivos o detalles pasados ​​por alto.

"La verificación de reglas de máscaras en el mundo de Manhattan está bastante bien definida, pero estamos trabajando con los clientes para identificar nuevas reglas de máscaras asociadas con la representación curvilínea de datos", dice Stephen Kim, director de soluciones de máscaras y plataformas en Siemens EDA. "No creo que esas reglas se hayan asentado, pero a medida que surjan, solidificarán convenciones que, con suerte, muchas personas podrán utilizar".

Los beneficios de este enfoque se conocen desde hace mucho tiempo. "Con las máscaras de Manhattan, estás realmente limitado por las limitaciones del MRC en cuanto a qué tan cerca puedes sumar las cosas", agrega Brist. "Cuando tienes cuatro bordes de 90°, rápidamente alcanzas esas limitaciones y puedes ver cómo el contacto impreso allí se ve comprometido". (ver figura 2). “Si se puede pasar a un régimen de curva, todavía se cumple la restricción del MRC. Pero debido a que es curvo, en realidad obtienes más cobertura allí y puedes imprimir cosas más cerca del objetivo. Estamos viendo controles de CD más estrictos, menos variabilidad de CD, MEEF más bajo: todas estas ventajas”. (El factor de mejora del error de máscara, o MEEF, es la relación entre el CD del fotorresistente estampado en la oblea en relación con la dimensión crítica de la máscara).

Fig. 2: Las máscaras de Manhattan están más limitadas por las restricciones de MRC que las máscaras curvilíneas. Fuente: Sinopsis

Fig. 2: Las máscaras de Manhattan están más limitadas por las restricciones de MRC que las máscaras curvilíneas. Fuente: Sinopsis

Fig. 2: Las máscaras de Manhattan están más limitadas por las restricciones de MRC que las máscaras curvilíneas. Fuente: Sinopsis

Una posible solución de costura para EUV de alta NA
Otro desafío para la adopción de máscaras curvilíneas es la necesidad de unir dos máscaras para formar una imagen completa en la oblea. Para EUV de NA alta, los errores de costura de la máscara de medio campo son una preocupación importante.

Imagínese trazar una línea a través de un campo, dejando sin darse cuenta fragmentos diminutos o “astillas”. Estas pequeñas características necesitan luego ser representadas en una máscara posterior. En lugar de un corte recto a través del campo, podría ser más estratégico ajustar ligeramente la línea para abarcar estas franjas dentro del polígono principal. Este enfoque simplifica la tarea, haciendo que las piezas del rompecabezas encajen más armoniosamente, pero requiere AI/ML para manejar los cálculos.

"Los desafíos de unión para una NA alta se comprenden bastante bien", dice Ronse de imec. “Una de las cosas completamente nuevas en la alta NA es el carácter anamórfico de la lente que limita el tamaño del área de impresión en la oblea. El aumento en la máscara del diseño es 8X en una dirección, en lugar de 4X dos veces. La otra dirección sigue siendo 4X. Con máscaras de seis pulgadas, sólo se puede exponer la mitad del tamaño del campo en la oblea. Si tiene un chip que es como el típico 33 x 26, solo puede escanear unos 15 o 16 milímetros, y luego necesita otra máscara para visualizar el otro lado del chip. Y, por supuesto, tienen que encajar. Esa es una gran preocupación. Nadie ha hecho eso nunca”.

Puede haber una solución poco ortodoxa a las preocupaciones sobre la unión de NA alta que presentaron recientemente varias de las principales empresas fabricantes de chips. La idea básica es duplicar el tamaño de la retícula típica de 6 x 6 pulgadas a una máscara de 6 x 12 pulgadas, lo que podría generar imágenes de un chip completo en una sola pasada en un escáner de alta NA y evitar los desafíos de la unión. Pero un cambio tan importante en la forma en que crujen las fotomáscaras no sería fácil.

"Los fabricantes de mascarillas básicamente necesitarían escribir, limpiar y codificar espacios en blanco mucho más grandes, y tendrían que ser más gruesos para evitar que se hundan", dice Ronse. "Van a ser mucho más pesados".

Aún se desconoce si las empresas de máscaras estarán de acuerdo con este enfoque. "Hay cierta visión escéptica sobre esto y sobre si es algo que la industria está dispuesta a asumir", dijo Schulze de Siemens. “Pero el consenso parece ser que se trata más de un problema de ingeniería que de un problema científico. Se necesitarían algunos años para llegar allí si ese es el camino que la gente decide seguir”.

Y aquí es donde se encuentra la industria hoy. “Básicamente, lo que dijeron es que si toda la industria está alineada para fin de año, comenzarán a desarrollarlo”, dijo Ronse de imec. “Si la industria no está alineada, entonces, por supuesto, no lo harán porque será un ejercicio costoso. Pero lo importante es que podrías evitar las costuras. Y, en segundo lugar, el rendimiento sería al menos un 50 % mayor, lo que básicamente reduce los costes”.

Pero también hay factores que lo compensan. "Definitivamente hay que considerar qué tan pesada será este nuevo tamaño de máscara", agregó Kim de Siemens, señalando que requeriría algunas especificaciones estandarizadas. "Esto le da a la industria algo que considerar y problemas que pueden anticiparse para que la discusión pueda tener lugar una vez que tengamos dichas especificaciones".

Incluso si todos están de acuerdo, su implementación llevará tiempo. "[Desarrollar el] nuevo equipo necesario para manejar las máscaras más grandes con un nuevo conjunto de sustratos es probablemente un cronograma de desarrollo de cinco años", agregó Schulze. "Eso significa que tendremos que concentrarnos en resolver los problemas de costura mientras tanto".

Máscaras curvilíneas en nodos más pequeños.
Al igual que otras tecnologías diseñadas originalmente para la vanguardia, que eventualmente llegan a otras áreas del flujo, es probable que la escritura de máscara curvilínea también migre a procesos más antiguos. A medida que la tecnología madura y las fábricas obtienen mejores modelos y recetas de procesos para curvilíneos, no hay ninguna razón por la que los curvilíneos no se utilicen para nodos de proceso más antiguos.

"Todo se reduce a si el beneficio justifica el coste adicional", dice Mack de Fractilia. “Si existe el deseo de utilizar máscaras curvilíneas para EUV, probablemente sea porque las capas EUV justifican pagar el mayor costo. Podría haber 193 capas que también justifiquen pagar el costo, y los costos probablemente disminuirán con el uso, en cuyo caso podría justificarse el uso de más de 193 capas para usar máscaras curvilíneas. Dicho esto, nadie regresa y cambia un proceso que ya se está ejecutando, pero cada proceso nuevo todavía incluye un montón de 193 capas”.

Las economías de escala entran en acción en algún momento. "Una vez que las máscaras curvilíneas estén aún más disponibles, y tal vez a medida que el costo de generar esas máscaras baje, veremos el uso de las máscaras curvilíneas no solo en EUV y NA alta", dice Brist. “Se ejecutará con tecnologías más antiguas que anteriormente estaban restringidas a funciones estilo Manhattan. En lugar de ejecutar patrones múltiples en un nodo antiguo, o tal vez incluso intentar obtener una nueva herramienta, las empresas pueden extender la vida útil de sus herramientas existentes haciendo uso de estas máscaras curvilíneas”.

Eso, a su vez, crea una cola mucho más larga para el ahorro. "A medida que la industria madure y esta tecnología madure, parece probable que prolifere hacia abajo debido a las ventajas que se obtienen en voltaje y corriente, reducción del recuento y costos más bajos". añade Schulze.

Desafíos pendientes para las curvilíneas
Hay otros dos desafíos importantes que superar antes de que se produzca un movimiento curvilíneo hacia la fabricación convencional. Uno es la falta de modelos e historia existentes a los que recurrir para realizar cálculos precisos.

"La experiencia es muy importante para nuestra industria", dice Mack. “Estamos fabricando dispositivos increíblemente complejos con procesos increíblemente complejos, y confiamos en nuestro historial de lo que funciona y lo que no para informarnos. Los cambios incrementales son mucho más fáciles de abordar porque podemos aprovechar nuestro historial de fabricación para comprender a qué prestar atención y qué ignorar. Pero usar máscaras curvilíneas es un cambio lo suficientemente grande como para requerir mucho aprendizaje para superar nuestra falta de experiencia”.

Este tipo de cambio requiere tiempo, esfuerzo y un amplio compromiso de la industria. "Con los diseños de Manhattan, tenemos esta rica base de datos para aprovechar nuestra rica experiencia y conocimiento", dijo Fujimura de D2S. “El conocimiento tribal se acumula con el tiempo, pero no lo sabemos en el caso de las cosas curvilíneas. En el caso del CD, está bien establecido en la industria que todo es lo mismo. Entonces, cuando tienes esos números para comparar, la gente sabe que es una comparación significativa. Si bien esto crea una barrera técnica para las curvas, no se trata de "¿Puedes hacerlo?". Es más bien: '¿Cuál es la convención?'”

Otro gran desafío para las máscaras curvilíneas es la inspección. Las herramientas de inspección tradicionales están optimizadas para los diseños de Manhattan, que se caracterizan por sus estructuras sencillas en ángulo recto. Con máscaras curvilíneas, la complejidad aumenta exponencialmente. Los patrones intrincados y variados de estas máscaras dificultan que las herramientas convencionales identifiquen defectos de forma rápida y precisa. Además, el gran volumen de datos asociados con los diseños curvilíneos puede abrumar a estas herramientas, lo que lleva a tiempos de inspección más prolongados y posibles descuidos. A medida que la industria gravita hacia el enmascaramiento curvilíneo, existe una necesidad urgente de desarrollar metodologías de inspección avanzadas que puedan manejar de manera eficiente los matices de estos diseños y al mismo tiempo garantizar los más altos niveles de exactitud y precisión.

“En este momento, el obstáculo es la inspección”, dice Ronse, de imec. “Si tienes una mascarilla curvilínea hay que inspeccionarla para ver que esté todo bien o si hay defectos. Pero la herramienta de inspección no puede inspeccionar toda la placa porque contiene demasiados datos. Ahora, las herramientas de inspección se están preparando para aceptar este nuevo formato de datos para curvilíneos, pero ese sigue siendo probablemente el mayor problema hoy en día”.

Inspección de máscara curvilínea
Básicamente, existen dos tipos de paradigmas de inspección. Una es una comparación de muerte a muerte. Las máscaras típicas tienen más de un chip y una forma muy sencilla de inspeccionarlas es comparar un troquel con el siguiente. Una cámara de alta resolución mira una imagen de una región, mira una imagen de la misma región en un dado diferente y compara las diferencias. Cualquier diferencia podría representar un defecto porque todas las imágenes deberían ser idénticas.

Siempre existe la posibilidad de que se repitan defectos porque todos los troqueles pueden tener el mismo defecto. Esto puede deberse a un error en los datos de diseño. Aún así, estos casos son inusuales. Normalmente es un defecto aleatorio o un defecto que surge de la capacidad de realizar una característica particular en la máscara. La mayoría de las veces, todos los defectos se pueden identificar mediante una inspección matriz a matriz.

Sin embargo, existe el caso en el que solo tienes un chip por máscara o, en el caso de diseños curvilíneos, medio chip por máscara. Diez comparaciones entre muerte y muerte no son posibles. En su lugar, se utiliza la inspección de matriz a base de datos, donde se tiene una base de datos de cómo se supone que deben verse los datos de diseño y se tiene la máscara real, y luego el ingeniero hace la comparación. Las comparaciones entre matrices y bases de datos son significativamente más complicadas y requieren mucha computación en comparación con las comparaciones entre matrices.

"Actualmente, existen tres posibles soluciones para la inspección", afirma Fujimura. “Existe una inspección tradicional entre troqueles. Luego está la actínica, que utiliza la misma longitud de onda de luz para la inspección que la que se utilizará en el proceso de litografía. Y luego está la inspección por haz de electrones, que puede realizarse de dos formas. Una es que realizan inspecciones de máscaras con haz de electrones. O pueden realizar una inspección con haz de electrones de obleas que han sido impresas con máscaras. En cierto modo, hay demasiadas tecnologías potenciales y el enfoque y la financiación de la industria podrían diluirse”.

Conclusión
Ha llegado la era del enmascaramiento curvilíneo. El siguiente paso es llevarlo a HVM, pero eso plantea una serie de desafíos que deben abordarse de manera agresiva en toda la industria. Desde escritores de máscaras de haces múltiples hasta ecuaciones multigonales y la posibilidad de retículas más grandes, los procesos para crear, calcular y alterar la forma en que se crean y utilizan las fotomáscaras están cambiando.

En una presentación reciente, Frank Abboud, vicepresidente de desarrollo tecnológico para operación de máscaras y metrología de fábricas de obleas de Intel, calificó la curvatura de “hermosa”. “Tiene valor. Tiene valor para la forma de onda. Tiene valor para los motores OPC. Tiene mucho valor y tenemos que hacerlo realidad”.

punto_img

Información más reciente

punto_img