Logotipo de Zephyrnet

EUV de alta NA puede estar más cerca de lo que parece

Fecha:

High-NA EUV está en camino de permitir la reducción al nivel de Angstrom, preparando el escenario para chips con recuentos de transistores aún más altos y una nueva ola de herramientas, materiales y arquitecturas de sistemas.

En la reciente conferencia SPIE Advanced Lithography, Mark Phillips, director de hardware y soluciones de litografía en Intel, reiteró la intención de la empresa de implementar la tecnología en la producción de alto volumen en 2025. Si bien muchos observadores ven este cronograma como agresivo, es probable que la empresa espere evitar, o al menos retrasar, la necesidad de procesos de patrones múltiples con EUV.

Los beneficios de los sistemas EUV de alta NA se pueden resumir en una palabra: resolución. Aumentar la apertura a 0.55, en lugar de 0.33 como en los sistemas de exposición actuales, brinda una mejora proporcional en la dimensión crítica alcanzable, tal vez tan baja como 8 nm para 0.5 NA EUV en relación con 13 nm para el sistema 0.33 NA.

Ecuación CD = k1*λ/NA

Ecuación CD = k1*λ/NA

Desafortunadamente, todavía no existen escáneres EUV de alta NA de producción. En el trabajo presentado en SPIE el mes pasado, ASML y Zeiss informaron que aunque el desarrollo va por buen camino, la instalación del primer sistema no se espera hasta 2023. La transición de 0.33 a 0.55 NA es menos radical que la introducción inicial de la litografía EUV, pero la litografía El ecosistema incluye cambios en algo más que el escáner. Para insertar sistemas de alta NA en la fabricación de volumen para 2025, la industria necesitará mejoras en la fotomáscara, la pila de resistencia y otros aspectos del proceso de transferencia de patrones.

Fig. 1: Óptica EUV ensamblada en un marco de sistema. Fuente: Zeiss

Fig. 1: Óptica EUV ensamblada en un marco de sistema. Fuente: Zeiss

El desafío fundamental es que una apertura numérica más grande hace que los fotones EUV golpeen la oblea en un ángulo de incidencia más bajo, lo que reduce la profundidad de enfoque. Este ángulo inferior exacerba los efectos de máscara 3D y complica la formación de una imagen latente en el protector.

Fig. 2: Sección transversal de una máscara EUV. Fuente: Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Aleaciones de Ni-Al como alternativa EUV absorbente de mascarilla, ”Appl. Sci. (8), 521 (2018). (Imec, KU Leuven, Universidad de Gante, PTB)

Fig. 2: Sección transversal de una máscara EUV. Fuente: Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Aleaciones de Ni-Al como alternativa EUV absorbente de mascarilla, ”Appl. Sci. (8), 521 (2018). (Imec, KU Leuven, Universidad de Gante, PTB)

Fig. 2: Sección transversal de una máscara EUV. Fuente: Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Aleaciones de Ni-Al como alternativa EUV absorbente de mascarilla, ”Appl. Sci. (8), 521 (2018). (Imec, KU Leuven, Universidad de Gante, PTB)

Las máscaras tienen espesor
Mientras que los sistemas de litografía óptica (365nm a 193nm) utilizan óptica refractiva, los sistemas EUV dependen de la óptica reflectante. Los fotones entrantes de longitud de onda de 13.5 nm golpean un espejo multicapa, actualmente compuesto por bicapas de molibdeno/silicio, y se reflejan de nuevo en el ángulo deseado (ver Fig. 2). La fotomáscara crea su patrón colocando una capa absorbente en el camino de los fotones reflejados.

Si bien es conveniente visualizar la máscara como un espejo plano con un patrón absorbente bidimensional en la parte superior, en realidad es un objeto tridimensional. El plano de reflexión se encuentra dentro de la multicapa, a una profundidad de unos 50nm con los materiales actuales. La capa absorbente tiene un espesor, un índice de refracción (n) y un coeficiente de extinción (k), todos los cuales afectan la distribución de intensidad que crea.

En aperturas más altas, los fotones impactan la máscara en un ángulo menor, proyectando una sombra más larga en relación con las dimensiones del patrón. El límite entre las regiones "oscuras" completamente bloqueadas y las regiones "claras" completamente expuestas se vuelve gris, lo que reduce el contraste de la imagen.

Estos efectos no son nuevos. Las máscaras de cambio de fase se han utilizado en producción desde el nodo de 90 nm. En 2020, Andreas Erdmann y colegas del Fraunhofer Institute, imec, ASML, y Zeiss analizaron sistemáticamente los efectos de los materiales de las máscaras EUV en el comportamiento de las imágenes. [1] Pero la adopción inminente de sistemas EUV de alta NA ha llevado a los efectos de máscara 3D al frente. Hay varias opciones disponibles para reducir la altura efectiva del absorbedor y, por lo tanto, el impacto de los efectos de máscara 3D.

La primera y más sencilla es reducir el espesor del material absorbente. Kurt Ronse, director del programa de patrones avanzados de Imec, dijo que es probable que las primeras capas modeladas por EUV de alta NA tengan dimensiones relativamente relajadas, alrededor de 28 nm. La simple reducción de la altura del absorbedor debería proporcionar suficiente contraste. Sin embargo, a medida que las características continúan reduciéndose, los fabricantes deberán reconsiderar el material absorbente. Erdmann señaló que el absorbedor a base de tantalio que se usa actualmente tiene características ópticas relativamente malas. Reducir el índice de refracción del absorbedor mejoraría las características de dosis a tamaño, logrando características más pequeñas a una dosis de exposición constante. Mientras tanto, aumentar el coeficiente de extinción reduce los efectos tridimensionales.

Desafortunadamente, n y k no son parámetros independientes que los fabricantes de máscaras puedan establecer simplemente en un dial de proceso. Son propiedades de los materiales y, como tales, están relacionadas entre sí y con las demás características del absorbedor. Para adoptar un nuevo material, los fabricantes de máscaras deben poder grabarlo y reparar defectos. El grabado con iones reactivos, que se utiliza actualmente para los absorbentes de tantalio, es una opción para algunos materiales candidatos, pero es probable que un nuevo absorbente aún requiera un nuevo proceso de grabado y una nueva química. Las capas de contacto y las capas de metal tienen diferentes requisitos y pueden necesitar diferentes absorbentes. En este momento, dijo Ronse, no ha surgido ninguna opción de consenso. Para continuar con el desarrollo del proceso, los fabricantes de máscaras necesitan orientación adicional de la industria.

Aún más lejos en el horizonte, una nueva máscara multicapa en blanco con un coeficiente de extinción diferente podría reducir la profundidad efectiva del plano reflectante. Reemplazar molibdeno con rutenio, por ejemplo, ofrecería una profundidad de reflexión de 40 nm. Sin embargo, cambiar el material multicapa es una tarea aún más compleja que reemplazar el absorbente. El nuevo modelo de máscara deberá lograr la misma o mejor uniformidad de espesor y especificaciones de defectos. Aunque en última instancia puede ser necesario, dijo Ronse, una nueva multicapa no ocurrirá pronto.

Otro cambio en el lado de la fabricación de máscaras es de escritores de máscaras de haz electrónico de haz de forma variable (VSB) a escritores de máscaras multihaz. “Los escritores multihaz son mejores para EUV porque se necesita mucha más energía para exponer la resistencia y eso crea problemas de calentamiento. Entonces, desea poder usar multihaz, incluso para formas simples. Pero el multihaz también permite fabricar formas curvilíneas en una máscara sin una penalización de tiempo de escritura”, dijo Aki Fukimura, director ejecutivo de D2S.

La transferencia de patrones se vuelve (más) complicada
Después de atravesar el patrón absorbente de la fotomáscara, los fotones EUV se encuentran con la oblea y su capa fotorresistente. La profundidad de enfoque reducida hace que sea más difícil mantener la parte superior de la pila de resistencia y el plano de la oblea enfocados al mismo tiempo. Si los errores de enfoque acercan demasiado las características adyacentes, el espacio no se despeja y se producen defectos de puente. Si los espacios entre las características son demasiado grandes, las características fotorresistentes resultantes son demasiado delgadas y colapsan por su propio peso.

En trabajo presentado en SPIE, Angélique Raley, directora de Electrón de Tokios Etch Product group, explicó que sin la profundidad de enfoque adecuada, la ventana de proceso ya estrecha entre los dos regímenes puede desaparecer por completo. [2] Reducir el grosor de la resistencia mejora el enfoque y reduce el riesgo de colapso del patrón, pero también presenta desafíos adicionales.

La primera es que el más delgado resiste hacer defectos estocásticos más como. La cantidad de fotones suministrados por las fuentes de exposición EUV ya es baja, y una resistencia más delgada es menos capaz de absorber los fotones que llegan. Los defectos estocásticos, que se manifiestan como asperezas en los bordes de las líneas, ya son uno de los principales contribuyentes a la pérdida de rendimiento de EUV.

Por lo general, los procesos de transferencia de patrones dependen de una pila compleja, con fotoprotector, una capa inferior que promueve la adhesión y una capa de máscara dura. El paso inicial replica el patrón de resistencia en la máscara dura antes de transferirlo a la oblea. Si el contraste entre las características de resistencia expuestas y no expuestas es deficiente, es posible que se necesite un paso preliminar de "desescombrado". Un protector más delgado es más susceptible a la erosión durante la eliminación de residuos y el grabado de transferencia del patrón. Estas preocupaciones no son nuevas. La industria ha estado investigando químicas de resistencia alternativas para algun tiempo. Aún así, no ha surgido ningún sucesor universalmente aceptado de las resistencias amplificadas químicamente convencionales.

En las resistencias amplificadas químicamente, los fotones entrantes activan moléculas generadoras de fotoácidos, cada una de las cuales genera múltiples fotoácidos. Los fotoácidos, a su vez, desprotegen el polímero principal de la resistencia, haciéndolo soluble en el revelador. CAR se resiste a absorber EUV pobremente, sin embargo, requiere una capa relativamente gruesa para capturar una dosis adecuada.

Una alternativa prometedora, la resistencia al óxido de metal, utiliza en su lugar los fotones entrantes para descomponer los nanoclusters de óxido de estaño. Los grupos de óxido son solubles en revelador, mientras que el estaño metálico no lo es. Estas son resistencias de tono negativo. La exposición hace que el material sea insoluble. Los óxidos metálicos son inherentemente más resistentes al grabado y absorben más fotones EUV, lo que les permite lograr resultados comparables con una capa más delgada. Desafortunadamente, los orificios de contacto, la primera aplicación probable para la exposición EUV de NA alta, requieren una resistencia de tono positiva.

Sin embargo, como se señaló anteriormente, hay más en la pila de transferencia de patrones que la fotoprotección. Un material de capa inferior, típicamente vidrio enroscado o carburo de silicio, ayuda a facilitar la adhesión de la resistencia. Raley demostró que estos materiales pueden expandir la ventana del proceso entre los defectos de puente y colapso del patrón. Sin embargo, la capa inferior también aumenta el grosor total que debe eliminarse para transferir el patrón a la máscara dura. Necesita volverse más delgado junto con la resistencia. Sin embargo, Jae Hwan Sim y sus colegas de DuPont demostraron que la densidad de la capa inferior depende del grosor. [3] Una subcapa delgada e inadecuadamente densa puede permitir la difusión de fotoácidos. Este comportamiento elimina el fotoácido de la parte inferior de la protección, lo que lleva a un desarrollo incompleto.

Conclusión
Un artículo futuro abordará la transferencia de patrones EUV con mayor detalle. La resistencia a la erosión y la fidelidad de las características son desafíos continuos que la industria está abordando de diversas maneras. Sin embargo, como dejó en claro la reunión de SPIE, los sistemas de exposición de alta NA pronto traerán una complejidad adicional, ya sea que los ingenieros de litografía estén listos o no.

 

Referencias

[1] Andreas Erdmann, et. al., "Perspectivas y ventajas y desventajas de los materiales absorbentes para la litografía EUV de NA alta", J. Micro/Nanolith. MEMS MOEMS 19(4) 041001 (1 de octubre de 2020) https://doi.org/10.1117/1.JMM.19.4.041001

[2] Angelique Raley, et. al., "Perspectiva para patrones EUV de alta NA: un enfoque de patrones holísticos para abordar los próximos desafíos", Proc. SPIE 12056, Tecnología de grabado avanzada e integración de procesos para nanopatrones XI, 120560A (25 de mayo de 2022); https://doi.org/10.1117/12.2613063

[3] Jae Hwan Sim, et.al., "Dependencia del espesor de las propiedades de las películas delgadas subyacentes de EUV", Proc. SPIE 12055, Avances en materiales y procesos de estampado XXXIX, 120550B (25 de mayo de 2022); https://doi.org/10.1117/12.2613437

punto_img

Información más reciente

punto_img