Logotipo de Zephyrnet

Evento Intel Direct Connect - Semiwiki

Fecha:

El miércoles 21 de febrerost Intel celebró su primer evento Foundry Direct Connect. El evento contó con sesiones públicas y de NDA, y yo estuve en ambas. En este artículo resumiré lo que aprendí (que no está cubierto por la NDA) sobre los planes comerciales, de procesos y de fábricas de obleas de Intel (mi enfoque es la tecnología de procesos y las fábricas de obleas).

Empresa

Puntos clave del discurso de apertura desde mi perspectiva.

  • Intel organizará la empresa como Product Co (no estoy seguro de que Product Co sea el nombre oficial) e Intel Foundry Services (IFS), y Product Co interactuará con IFS como un cliente habitual de fundición. Todos los sistemas clave estarán separados y protegidos por firewall para garantizar que los datos de los clientes de Foundry estén seguros y no sean accesibles para Product Co.
  • El objetivo de Intel es que IFS sea la segunda fundición del mundo para 2030. Hubo mucha discusión sobre si IFS sería la primera fundición de sistemas, además de ofrecer acceso a los procesos de fabricación de obleas de Intel, IFS ofrecerá el empaquetado avanzado de Intel, IP. y experiencia en arquitectura de sistemas.
  • Fue interesante ver al director ejecutivo de Arm, René Haas, en el escenario con el director ejecutivo de Intel, Pat Gelsinger. Arm fue descrito como el socio comercial más importante de Intel y se señaló que el 80% de las piezas ejecutadas en TSMC tienen núcleos Arm. En mi opinión, esto muestra cuán en serio se está tomando Intel la fundición; en el pasado, era impensable que Intel ejecutara Arm IP.
  • Hace aproximadamente 3 meses, IFS reveló que tenía pedidos con un valor de por vida de $10 mil millones de dólares, ¡hoy esa cifra ha aumentado a $15 mil millones de dólares!
  • Intel planea publicar estados financieros reexpresados ​​que se remontan a tres años atrás, desglosando Product Co e IFS.
  • El CEO de Microsoft, Satya Nadella, apareció de forma remota para anunciar que Microsoft está realizando un diseño para Intel 18A.

Proceso tecnológico

  • En una sesión de NDA, Ann Kelleher presentó la tecnología de procesos de Intel.
  • Intel ha estado apuntando a cinco nodos en cuatro años (a diferencia de los aproximadamente cinco años que tomó completar los 5 nm). Los nodos planificados fueron i10, i7, el primer proceso EUV de Intel, i4, 3A con RibbonFET (Gate All Around) y PowerVia (alimentación trasera) y 20A.
  • El i7 y el i4 están en producción, el i4 se produce en Oregón e Irlanda y el i3 está listo para su fabricación. 20A y 18A están en camino de estar listos para producción este año, consulte la figura 1.

Figura 1 y XNUMX

 Figura 1. Cinco nodos en cuatro años.

Puedo discutir si realmente se trata de cinco nodos; en mi opinión, i7, i3 y 18A son medios nodos después de i10, i4 y 20A, pero sigue siendo un rendimiento muy impresionante y muestra que Intel está nuevamente en camino para el desarrollo de procesos. Ann Kelleher merece mucho crédito por volver a encarrilar el desarrollo de procesos de Intel.

  • Intel también está completando su oferta para fundición, i3 ahora tendrá i3-T (TSV), i3-E (mejorado) e i3-P (versiones de rendimiento).
  • No puedo discutir detalles, pero Intel mostró sólidos datos de rendimiento para i7 hasta 18A.
  • 20A y 18A deben estar listos para su fabricación este año y serán los primeros procesos RibbonFET (Nanohojas horizontales apiladas Gate All Around) y PowerVia (entrega de energía trasera) de Intel. PowerVia será el primer uso del mundo de entrega de energía trasera y, según un anuncio público, tengo Visto desde Samsung y TSMC, estará aproximadamente dos años por delante de ambas compañías. PowerVia deja el enrutamiento de la señal en la parte frontal de la oblea y mueve la entrega de energía a la parte posterior, lo que permite la optimización independiente de los dos, reduce la caída de energía y mejora el enrutamiento y el rendimiento.
  • 18A parece estar generando mucho interés y está progresando bien con el lanzamiento de 0.9PDK y varias compañías han grabado dispositivos de prueba. También habrá una versión de alto rendimiento 18A-P. En mi opinión, 18A será el proceso de mayor rendimiento disponible cuando se lance, aunque TSMC tendrá procesos de mayor densidad de transistores.
  • Después de 18A, Intel pasará a una cadencia de nodos de dos años con 14A, 10A y NEXT planificados. La Figura 2 ilustra la hoja de ruta del proceso de Intel.

Figura 2 y XNUMX

Figura 2. Hoja de ruta del proceso.

  • Completando aún más la oferta de fundición de Intel, están desarrollando un proceso de 12 nm con UMC y un proceso de 65 nm con Tower.
  • La primera herramienta High NA EUV está en Oregon, con puntos de prueba esperados para 2025 y producción en 14A prevista para 2026.

Habilitación del diseño

Gary Patton presentó la habilitación de diseño de Intel en una sesión de NDA. Gary es un ejecutivo de desarrollo de IBM desde hace mucho tiempo y también fue director de tecnología en Global Foundries antes de unirse a Intel. En el pasado, los flujos de diseño no estándar de Intel han sido una barrera importante para acceder a los procesos de Intel. Partes clave de la charla de Gary:

  • Intel está adoptando prácticas de diseño estándar de la industria, versiones de PDK y nomenclatura.
  • Se admitirán todas las principales plataformas de diseño: Synopsys, Siemens, Cadence, Ansys y representantes de las cuatro presentadas en las sesiones.
  • Toda la propiedad intelectual fundamental principal está disponible en la oferta de fundición de Intel.
  • En mi opinión, este es un gran paso adelante para Intel; de hecho, discutieron qué tan rápido ha sido posible trasladar varios elementos de diseño a sus procesos ahora.
  • La disponibilidad de IP y la facilidad de diseño de una fundición son fundamentales para el éxito e Intel parece haber marcado esta casilla crítica por primera vez.

Empaque

Choon Lee presentó el empaque y es otro forastero traído a Intel, creo que dijo que solo había estado allí 3 meses. Otro analista comentó que era reconfortante ver que Intel ponía a personas traídas desde afuera en puestos clave, en lugar de que todas las personas clave fueran empleados de Intel desde hace mucho tiempo. El embalaje no es realmente mi enfoque, pero un par de notas que pensé que eran clave:

  • Intel ofrece su paquete avanzado a los clientes y lo denomina ASAT (ensamblaje y prueba de sistema avanzado) en lugar de OSAT (ensamblaje y prueba subcontratados).
  • Intel ensamblará múltiples productos de troqueles provenientes de IFS y de otras fundiciones.
  • Intel tiene una capacidad única para probar matrices singulares que permite un control de temperatura mucho más rápido y mejor.
  • La Figura 3 resume las capacidades de fundición y empaquetado de Intel.

Figura 3 y XNUMX

Figura 3. Fundición y embalaje de Intel.

Fabricación Intel

También bajo NDA Keyvan Esfarjani presentó la producción de Intel. Los puntos clave divulgables son:

  • Intel es la única fundición geográficamente diversa con fábricas en Oregón, Arizona, Nuevo México, Irlanda e Israel y fábricas planificadas en Ohio y Alemania. Intel construye infraestructuras alrededor de las fábricas en cada ubicación.
  • El modelo de fundición IFS permitirá a Intel acelerar los procesos y mantenerlos en producción en lugar de acelerarlos y luego reducirlos varios años después como lo hacían anteriormente como IDM.
  • Ubicaciones fabulosas de Intel:
    • Fab 28 en Israel está produciendo i10/i7 y está previsto fabricar Fab 38 en esa ubicación.
    • Los fabulosos 22/32/42 en Arizona están ejecutando i10/i7 y los fabulosos 52/62 están planificados para ese sitio a mediados de 2025 para ejecutar 18A.
    • Fab 24 en Irlanda está ejecutando 14 nm con la fundición i16 planificada, Fab 34/44 también en esa ubicación está ejecutando i4 ahora y aumentando i3. Eventualmente ejecutarán la fundición i3.
    • Fab 9/11x en Nuevo México está ejecutando un embalaje avanzado y agregará 65 nm con Tower en 2025.
  • Expansiones planificadas en Ohio y Alemania.
  • Oregon no se analizó en detalle, presumiblemente porque es un sitio de desarrollo, aunque sí realiza una fabricación inicial. Oregon tiene Fabs D1C, D1D y 3 fases de D1X en funcionamiento con reconstrucciones de D1A y 4 adicionalesth Se está planificando la fase de D1X.

Conclusión

En general, el evento estuvo muy bien ejecutado y los anuncios fueron impresionantes. Intel ha vuelto a encaminar el desarrollo de su tecnología de procesos y se está tomando en serio la fundición y haciendo lo correcto para tener éxito. TSMC está asegurada como la fundición número uno del mundo en el futuro previsible, pero dados los recurrentes problemas de rendimiento de Samsung, creo que Intel está bien posicionada para desafiar a Samsung por la posición número dos.

Lea también

ISS 2024 – Lógica 2034 – Tecnología, Economía y Sostenibilidad

Intel debería ser el plan A del mundo libre, no el plan B, y necesitamos que el gobierno de EE. UU. intervenga

¿Qué tan disruptivos serán los chiplets para Intel y TSMC?

Comparte esta publicación a través de:

punto_img

Información más reciente

punto_img