Logotipo de Zephyrnet

Modelado de defectos estocásticos EUV con desenfoque electrónico secundario - Semiwiki

Fecha:

A menudo se representa que la litografía ultravioleta extrema (EUV) se beneficia de la longitud de onda de 13.5 nm (en realidad es un rango de longitudes de onda, principalmente ~13.2-13.8 nm), cuando en realidad funciona mediante la acción de electrones secundarios, electrones liberados por fotoelectrones que son ellos mismos se liberan de la ionización por fotones EUV absorbidos (~90-94 eV). Los fotones no sólo se absorben en la película fotorresistente sino también en las capas inferiores. Los electrones liberados migran distancias variables desde el punto de absorción, perdiendo energía en el proceso.

Estas distancias de migración pueden superar las 10 nm [1-2]. En consecuencia, las imágenes formadas por litografía EUV están sujetas a un efecto conocido como desenfoque. El desenfoque puede entenderse básicamente como la reducción de la diferencia entre la respuesta química mínima y máxima del fotoprotector. El desenfoque a menudo se modela mediante una función gaussiana convolucionada con la imagen óptica original [3-4].

Sin embargo, en estos modelos a menudo se omite mencionar que la longitud de la escala de desenfoque, a menudo denominada sigma, no es un número fundamentalmente fijo, sino que pertenece a una distribución [5]. Esto es consistente con el hecho de que la dosis más alta de EUV conduce a una mayor borrosidad observada [2,5]. Más electrones liberados permiten un mayor rango de distancias recorridas [2,6]. Tenga en cuenta que el desenfoque químico puro por difusión no tiene la misma dependencia de la dosis [3,7].

Recientemente se demostró que el desenfoque de electrones secundarios que aumenta con la dosis puede provocar los defectos estocásticos observados en la litografía EUV [8]. La dosis más alta conduce a un rango de desenfoque permitido más amplio.

Modelado de defectos estocásticos EUV con desenfoque de electrones secundarios

Rango de desenfoque de base local en diferentes dosis, tomado con diferentes probabilidades de la distribución de probabilidad de desenfoque de base.

El modelo de simulación combina tres etapas de generación de números aleatorios: (1) absorción de fotones, (2) rendimiento de electrones secundarios y (3) rango de desenfoque dependiente de la dosis de electrones. Los defectos estocásticos no expuestos son dominantes en dosis bajas donde se absorben muy pocos fotones. Los defectos estocásticos expuestos son dominantes en dosis más altas donde el desenfoque ultraalto (>1 nm) raro (p. ej., probabilidad ~ 8e-10) promueve demasiada exposición a los electrones secundarios cerca del valor umbral para la impresión.

No hay texto alternativo para esta imagen

Un mayor desenfoque facilita que variaciones de dosis estocásticas más pequeñas crucen el umbral de impresión, lo que permite defectos expuestos o no expuestos.

Una consecuencia tanto de la baja absorción insuficiente de fotones como del aumento de la dosis que causa defectos es la aparición de un fondo o valle para los defectos estocásticos, lo que evita que desaparezcan por completo.

Modelado de defectos estocásticos EUV con desenfoque de electrones secundarios

En dosis más bajas o CD expuesta tiende a haber defectos no expuestos, mientras que en dosis más altas o CD expuesta tiende a haber defectos expuestos. Esto da como resultado un piso o valle para la ocurrencia de defectos estocásticos.

Por lo tanto, es muy arriesgado no incluir rangos de desenfoque de electrones secundarios dependientes de la dosis en ningún modelo de imagen de litografía EUV o formación de defectos.

Referencias

[1] I. Bespalov, “Papel clave de los electrones de muy baja energía en resistencias moleculares a base de estaño para nanolitografía ultravioleta extrema”, ACS Appl. Madre. Interfaces 12, 9881 (2020).

[2] S. Grzeskowiak et al., “Medición del desenfoque de electrones secundarios”, Proc. SPIE 10960, 1096007 (2019).

[3] D. Van Steenwinckel et al., “Importancia litográfica de la difusión ácida en resistencias químicamente amplificadas”, Proc. SPIE 5753, 269 (2005).

[4] T. Brunner et al., “Impacto del desenfoque de resistencia en el control de MEF, OPC y CD”, Proc. SPIE 5377, 141 (2004).

[5] A. Narasimhan et al., “Estudiar el comportamiento de los electrones secundarios en EUV resiste el uso de experimentación y modelado”, Proc. SPIE 942, 942208 (2015).

[6] M. Kotera et al., “Simulación de litografía ultravioleta extrema mediante el seguimiento de trayectorias de fotoelectrones en Resist, Jpn. J. Aplica. Física. 47, 4944 (2008).

[7] M. Yoshii et al., “Influencia del desenfoque resistente en la resolución de la litografía de inmersión de hiper-NA más allá del medio tono de 45 nm”, J. Micro/Nanolith. MEMS MOEMS 8, 013003 (2009).

[8] F. Chen, “Defectos estocásticos EUV por desenfoque de electrones secundarios que aumentan con la dosis”, https://www.youtube.com/watch?v=Q169SHHRvXE, 8/20/2023.

Este artículo apareció por primera vez en LinkedIn Pulse: Modelado de defectos estocásticos EUV con desenfoque de electrones secundarios

Lea también

Imágenes estocásticas mejoradas en litografía EUV de alta NA

Litografía para aplicaciones específicas: mediante separación de 5 nm y más

Actualización de ASML SEMICON Oeste 2023

Comparte esta publicación a través de:

punto_img

Información más reciente

punto_img