Logotipo de Zephyrnet

Una unidad de procesamiento digital de memoria cercana de punto fijo optimizada con precisión para IMC analógico (IBM y ETH Zurich)

Fecha:

Investigadores de IBM Research Europe y IIS-ETH Zurich publicaron un artículo técnico titulado “Una unidad de procesamiento digital de memoria cercana de punto fijo optimizada con precisión para computación analógica en memoria”.

Abstracto:

“La computación analógica en memoria (AIMC) es una tecnología emergente para la inferencia de aprendizaje profundo (DL) rápida y energéticamente eficiente. Sin embargo, se requiere una cierta cantidad de posprocesamiento digital para solucionar los desajustes de circuitos y las no idealidades asociadas con los dispositivos de memoria. Una lógica digital eficiente cercana a la memoria es fundamental para conservar la alta eficiencia de área/energía y la baja latencia de AIMC. Los sistemas existentes adoptan aritmética de coma flotante 16 (FP16) con capacidad de paralelización limitada y alta latencia. Para superar estas limitaciones, proponemos una Unidad de procesamiento digital de memoria cercana (NMPU) basada en aritmética de punto fijo. Logra una precisión competitiva y un mayor rendimiento informático que los enfoques anteriores, al tiempo que minimiza la sobrecarga del área. Además, la NMPU admite pasos de activación de DL estándar, como ReLU y normalización por lotes. Realizamos una implementación física del diseño de NMPU en una tecnología CMOS de 14 nm y proporcionamos evaluaciones detalladas de rendimiento, potencia y área. Validamos la eficacia de la NMPU utilizando datos de un chip AIMC y demostramos que un sistema AIMC simulado con la NMPU propuesta supera las implementaciones existentes basadas en FP16, proporcionando 139× aceleración, 7.8× área más pequeña y un consumo de energía competitivo. Además, nuestro enfoque logra una precisión de inferencia del 86.65 %/65.06 %, con una caída de precisión de solo el 0.12 %/0.4 % en comparación con la línea de base del FP16 cuando se compara con redes ResNet9/ResNet32 entrenadas en los conjuntos de datos CIFAR10/CIFAR100, respectivamente”.

Encuentra los documento técnico aquí. Publicado en febrero de 2024 (preimpresión).

Ferro, Elena, Athanasios Vasilopoulos, Corey Lammie, Manuel Le Gallo, Luca Benini, Irem Boybat y Abu Sebastian. "Una unidad de procesamiento digital de memoria cercana de punto fijo optimizada con precisión para computación analógica en memoria". Preimpresión de arXiv arXiv:2402.07549 (2024).

Lectura relacionada
La integración 3D respalda la versatilidad y precisión de CIM
Resolver las limitaciones de la computación en memoria requiere nuevos enfoques y dimensiones.
Aumento de la eficiencia energética de la IA con computación en memoria
Cómo procesar cargas de trabajo en escala zetta y mantenerse dentro de un presupuesto de energía fijo.

punto_img

Información más reciente

punto_img